12 月 6 日消息,在近日的 IEDM 2022(2022 IEEE 国际电子器件会议)上,英特尔发布了多项突破性研究成果,并且还给出了最新的路线图,强调该公司正保持“迅捷步伐”,而且他们不仅要维持正轨,还要加快交付时间。

按照英特尔的术语,后纳米时代的切入点即为“埃米”,也就是十分之一纳米,他们将低于 2 纳米的工艺节点命名为 20A 和 18A,并且将以此首发 / 首批采用 ASML 的 High NA EUV 设备(可能是 18A)。

简单来说,英特尔 20 A 工艺计划在 2024 年上半年进入风险试产阶段,而 18A 工艺将在 2024 年下半年准备就绪。

此外,英特尔还确认其即将推出的 Intel4 工艺已准备好生产。

值得注意的是,公司提到节点“准备生产”的流程与他们预计流程处于风险生产状态的时间线相对应。这意味着 Intel 4 现在处于风险试产状态。

也就是说,这可能意味着下一代 Meteor Lake 不会像一些小道爆料所说的那样推迟到 2024 年,它将在 2023 年的某个时候到来。

▲ 图源英特尔,via:wccftech

该公司还透露,18A 工艺计划在 2024 年 2 月进入风险试产阶段。

此外,英特尔还确认其 20A 工艺将同时引入 RibbonFET 和 PowerVias 技术。

IT之家科普:RibbonFET 是一种环栅或纳米晶体管结构,有望像 FinFET 一样延长摩尔定律,而 PowerVia 则是一种后端电源传输技术,两者结合的话必然会带来相当大的技术创新。

英特尔技术开发总经理 Ann B Kelleher 表示:

“摩尔定律是关于功能创新的整合,当我们展望未来 10 到 20 年的时候,会有一条充满创新的路途。”

当被问及在 20A 工艺上向 RibbonFET 和 PowerVias 技术过渡的问题,以及考虑到该公司在 10nm 工艺上的失误所带来的潜在风险时,Ann Kelleher 表示:

“这些并不需要立即完成,但我们看到了转移到 PowerVia 以启用 RibbonFET 技术的显著优势,.... 这一直非常成功,使我们能够加快我们的开发工作。”

Intel 3、Intel 18A都是啥?英特尔2025制程路线图浅析

英特尔CEO基辛格曾经表示,希望在2025年英特尔能够重返产品领导者的地位,而就在上个月,英特尔在活动上正式透露了2025年目标计划,包括未来5代工艺制程节点线路图,通过彪悍的战略意图超越所有竞争对手,顺带还重新定义命名规则。

如同80486到奔腾,从奔腾到酷睿,每一次英特尔重大改名决策背后,几乎都会带来一段强劲的技术飞跃。这一次,就让我们抽点时间,聊聊英特尔的2025路线图应该怎么理解。

先说结果

如果你想简单了解整件事情,那么下面的表格应该可以帮助你最简单了解英特尔的时间节点。与往常一样,英特尔的技术用于生产和零售之间是有区别的。例如每个工艺节点可能存在数年,新的工艺与是否投入到实际产品中仍然要看市场运营状况,这里你可以理解为AMD再加把劲,让英特尔的牙膏挤猛一点。

回顾今年早些时候基辛格给出的IDM2.0战略,你可以理解在战略中一共3个要素,分别是:

可以看到第一点和第三点英特尔都在着重强调如何贯彻自己的工艺节点开发节奏,基辛格在近期的2021第三季度财报前瞻电话会议中曾表示,目前英特尔每天生产的10nm晶圆已经超过14nm,这标志着英特尔已经实现了向10nm工艺制程的转变。同时在今年6月份,英特尔还表示下一代10nm产品还需要额外的验证时间,以简化2022年在企业级产品上的部署。

(手机横屏观看更佳)

仍然需要注意,虽然英特尔一直在强调10nm工艺制程与对等产品的优越性,但台积电7nm和5nm的设计在事实上已经超过了英特尔量产芯片的晶体管密程度,并在出货量上超越了英特尔,这也是为什么基辛格全力推动英特尔内部全面改革,并获得董事会支持的动力所在。

Pat Gelsinger

因此这一次路线图的公布就变得非常重要了,这将代表着英特尔未来4年的战略节奏,或者调侃一点说是挤牙膏的进度。从整体上来看,英特尔正在积极改进新品提升进度,以及让技术之间更为模块化匹配更为成熟。

在IDM 2.0战略中推动整套技术发展的实操人是去年被任命为英特尔技术与制造总经理安凯乐(Ann B. Kelleher),这个部门在2020年7月份成立,专注纯粹的技术开发,安凯乐本人在英特尔已经担任了26年工程师,先后管理过Fab 24(爱尔兰),Fab 12(美国亚利桑那),Fab 11X(美国新墨西哥州),以及在英特尔总部担任过制造与运营部门总经理。

Ann B. Kelleher

在会议上,安凯乐博士表示,已经在供应商、生态系统学习、组织架构、模块化设计策略、应急计划上做出了重大改变,同时技术团队也将以更精简的方式运行。英特尔将重返技术领先地位目标定义为“每瓦性能指标”表现,也意味着芯片的峰值性能仍然是英特尔发展战略重要计划的一部分。

Fab 11X

接下来,开始我们的长篇大论。

英特尔工艺制程新命名:重新定义有多小

英特尔重新命名工艺制程名称目的是更好的符合现在的行业命名方式,显然在营销手段上,打不过对方耍流氓,最有效的方式就是加入对方,并在其中依靠业界领导能力重塑业界规则,这一点英特尔是相当有魄力的。

其实在大众认知中,英特尔10nm技术等同于台积电7nm已经不再陌生,2D平面转向3D FinFET的时候,数字表达和物理情况之间再无直接关联,在三星带头下沦为营销工具,这样的混乱已经持续了五年之久。

现在我们先把英特尔公布的线路图放出来:

2020年,英特尔10nm SuperFin。 应用于Tiger Lake和Xe-LP独立显卡解决方案SG1和DG1,名称保持不变。

2021年下半年,Intel 7。 应用于Alder Lake和Sapphire Rapids至强可扩展处理器,以前被称为10nm Enhanced Super Fin,相当于10nm制程的晶体管优化产品,每瓦性能相对10nm SuperFin提升10%到15%。其中Alder Lake已经开始批量试产,也就是我们所期待的即将翻盘的12代酷睿。同时在GPU方面,英特尔Xe-HP也划入Intel 7的范畴中。

2022年下半年,Intel 4。 在此之前被称为Intel 7nm,应用于Meteor Lake和下下一代至强可扩展处理器,目前正在实验室测试阶段。英特尔预计每瓦性能能够比上一代提升20%。Intel 4主要会在后端制程(BEOL)中使用更多的极紫外光刻(EUV)。

2023年下半年,Intel 3。 此前称为Intel 7nm+,将增加EUV和高密度库(High Density Libraries)的使用。这里英特尔新模块化战略将会起到作用,例如Intel 3和Intel 4制程将共享一些特性。相对Intel 4,Intel 3每瓦能够提升约18%。

2024年,Intel 20A。 从这里开始就是英特尔制程的转折点,A代表埃米Ångström,10Å等于1nm,在此之前被称为Intel 5nm。由于英特尔在这个时间点将从FinFET转向RibbonFET,即环绕栅极晶体管设计(GAAFET)方向,原来的5nm称呼其实是不准确的。与此同时,英特尔还在这一代工艺上使用PowerVia技术,将供电模块与计算模块尽可能分离,确保信号不受到干扰

2025年,Intel 18A。 无论是技术沟通会议,还是ChinaJoy2021现场英特尔产品总监的分享,分享细节基本到Intel 20A就结束了,但实际上在2025年之后英特尔工艺制程还将迈入Intel 18A。这里将使用ASML最新的EUV光刻机High-NA,能够进行更精确的光刻操作。英特尔表示他们已经成为ASML在High-NA方面的主要合作伙伴,现在已经开始测试第一台High-NA模型。

如果我们把上述的资料进行简略整理,能够看到一个很清晰的思路:

仍然需要注意的是,上面的时间节点只代表工艺节点可能准备就绪的时间,实际产品发布仍然会有变数。例如采用Intel 7工艺的Alder Lake是今年到明年初CES上市,而Sapphire Rapids则可能会到2022年。

为什么要给制程工艺重新命名?

这可能是大多数玩家最关心的一点。无论是英特尔还是对手三星、台积电,用更小的工艺密度名称来展现产品竞争力仍然是主流做法,如果英特尔使用类似台积电、三星奔放的工艺制程命名规则,可能实际操作中市场部仍然需要表达在同等制程称呼下,英特尔的晶体管密度仍然高很多。

因此切换命名赛道可能才是一个最理智的做派,并且也能很好表达在工艺节点没有提升的情况下,实际表现仍然有明显的进步。以Intel 7为例,原来冗长的名称为10nm Enhanced Super Fin,相当于10nm Super Fin的进阶产品,听起来似乎英特尔又在挤牙膏了。

实际上并非如此,比如10nm到10nm Super Fin看似只加长了命名,实际上使用了新的SuperMIM电容器设计,并带来了1GHz以上的频率提升,因此10nm Super Fin到Intel 7之间也注定意味最终性能上的变化。从目前的初步判断来看,每一代工艺的进步,至少可以带来5%到10%的每瓦性能提升,变化很明显。

事实上这套命名思路已经被三星和台积电玩的炉火纯青,例如三星会在8LPP节点设计的基础上,不断的优化,进而衍生出6LPP、5LPE和4LPE,只有到3GAE的时候才会完成全新的技术迭代。同样,台积电10nm、7nm实际上是16nm工艺的优化设计,属于同一个工艺制程节点范围内。但如果看英特尔从Intel 7到Intel 3之间的发展,将会完成2个,以更快的速度完成工艺迭代,也就是英特尔重返巅峰的重要举措之一。

说个题外话,如果当年英特尔将14nm+改名为13nm,14nm++改名12nm,在台积电批量出货5nm产品之前,也许英特尔的处境看起来似乎也没什么太大的问题。

ASML扮演关键角色

在英特尔的报告中,我们会发现ASML无论在任何时间节点都变得非常关键。由于它是目前世界上唯一一家能够给英特尔提供生产机器的公司,英特尔也注定要在ASML上花费大量的资金,以及持续的技术投入。

在这个即将接近“上帝穹顶”的半导体工艺制程领域里,指望一家独大完全是异想天开,早在2021年,英特尔、三星、台积电都对ASML进行了投资,目的就是加速EUV开发,同时将300mm晶圆迁移到4500mm晶圆上。特别是英特尔的21亿美元投资使他们获得了ASML 10%的股份,并且英特尔也表示会持续投资直至增加到25%的占比。

有趣的是,ASML已经在2021年达到了2680亿美元,已经超过了英特尔的市值。

台积电在2020年8月份的一个报告中显示,ASML的EUV光刻机中,有50%用于前沿工艺,而直至现在英特尔还没有任何产品使用EUV制造,直至Intel 4中的后端制程(BEOL)才会加大力度。目前为止,ASML仍然有50台EUV光刻机延迟交付,并计划在2021年生产45到50台EUV光刻机,2022年产量达到50-60台,每台设备标价1.5亿美元,安装时间需要4到6个月。

ASML的缺货也可能给促使英特尔选择在Intel 4发力的原因,但更重要的是,ASML下一代EUV技术,即High-NA EUV将会成为英特尔的主要制造技术之一。NA与EUV光刻机的数值孔径相关,简单的说是在EUV光束击中晶圆之前,可以重新增强光束宽度,击中晶圆的光束越宽,强度就越大,刻画出的电路则越准确。

而如果依靠现在的工艺,一般会使用一维或二维光刻特征的双重图案化,亦或者四重图案化来实现类似的效果,但会严重的降低产量,而High-NA EUV则不会遇到这个问题,显然也更符合英特尔的预期。

如果一切顺利,英特尔可能会在2024年获得第一台High-NA EUV光刻机,并在随后逐步增加,数量越多,对英特尔的产量和优势也将越有利。

翻盘技术点1:RibbonFET

拥有更好的光刻机是远远不够的,芯片设计将会成为英特尔重返巅峰的另一个砝码。这里英特尔着重介绍了RibbonFET和PowerVias。

在目前的普遍认知中,常规FinFET一旦失去增长动力,整个半导体制造行业会转向GAAFET,也就是Intel 20A中提到的环绕栅极晶体管设计(GAAFET)。为了便于大家理解,英特尔将其命名为RibbonFET。

RibbonFET的特点是拥有多层灵活宽度的晶体管以驱动电流。与FinFET依赖于源极/漏极的多个量化鳍片和多个鳍片轨迹的单元高度不同,RibbonFET允许单个鳍片长度可变,并且允许针对每个独立单元进行功率、性能、面积优化,相当于每一个单元的模块都可以再定义电流,变化更为多样性。

资料来自三星

英特尔同样也是GAAFET的推动者之一,在RibbonFET的展示PPT中,可以看到同时使用了PMOS和NMOS器件,看起来像4堆栈结构。而堆栈越多,增加的工艺步骤也就会越繁琐。

不过与对手相比,英特尔的速度确实有些落后。台积电计划在2nm制程上过度到GAAFET,时间节点为2023年之后,三星则计划在3GAP制程上部署更多产品,时间节点同样为2023年。而英特尔的RibbonFET需要2024年上半年才会付诸实践,并且实际产品还需要再往后延期一段时间。

翻盘技术点2:PowerVias

PowerVias是Intel 20A另一个重要设计之一。

现代电路设计是从晶体管层M0开始,向上不断叠加大尺寸额外金属层,以解决晶体管和处理器缓存、计算单元等各个部分之间的布线问题。高性能处理器通常有10到20层金属层,最外层晶体管负责外部通讯。

而在PowerVias中,晶体管被放置于设计中间,晶体管一侧放置通讯线,允许芯片之间各个部分进行通讯,所有电源相关的设计放在另一侧,更确切的说,是晶体管背面,也就是我们常说的背面供电。

从整体来看,电源部分与通讯部分分开可以简化很多不必要的麻烦,比如电源供电导致信号干扰。另一方面按,更近的通讯距离能够降低能量损耗,运行方式更为高效。

当然,背面供电也并非十全十美,它对设计和制造都提出了更高的要求,例如在设计制造晶体管的时候,就必须更早的发现设计和制造缺陷,而不是现在可以供电与晶体管设计交替进行。同时由于供电部分的翻转意味着实际发热的时候,需要考虑热量对信号的影响等等。

不过背面供电技术在行业内其实被提出很多年,ARM和IMEC在2019年联合宣布在3nm工艺的ARM Cortex-A53实现类似的技术,特别是在现在设计下,工艺节点提升开始难以换来对等的高性能,改变设计思路无疑是合理的解决方案。

下一代封装:EMIB和Foveros

除了工艺节点,英特尔还需要推进下一代封装技术。高性能芯片需求再加上困难的工艺节点开发,都使得处理器不再是单一的硅片,而是无数更小的芯片、模块组合在一起,因此就需要更好的封装和桥接技术。英特尔EMIB和Foveros就是其中的两个。

EMIB:嵌入式多芯片互联桥接

桥接技术最早给2D平面芯片桥接设计的。通常而言,两个芯片之间的相互通讯最简单的方法是穿过基板形成数据通路。基板是由绝缘材料层组成的印刷电路,其中散布着蚀刻轨道和金属迹线。根据基板的质量、物理协议和使用标准,可以得出传输数据时达到电力、带宽损耗等等,这是最便宜的选择。

基板的进阶形式是,两个芯片通过一个中介层桥接。中介层通常是一大块硅片,面积足以让两个芯片贴合。类似于插座一般,硅片对应不同芯片会提供相应的接口,并且由于数据从硅片移动到硅片,功率损失要比基板小得多,带宽也更高,缺点是作为中介层的硅片也需要额外制造,制程通常在65nm以上,并且所涉及的芯片要足够小,否则成本降不下来。

英特尔EMIB则正好是中介层硅片以及基板的融合体。英特尔没有使用大型的中介层,而是用小硅片将其嵌入到基板中,从而变成具备插口的桥接器,这使得桥接性能不会受到硅片成本过大,以及基板效率过低的影响。

但EMIB嵌入基板其实并不容易,英特尔已经给为此花费了数年时间和资金完善这项技术,并且桥接过程中必然会存在良品率的问题,即使每个芯片桥接都能达到99%的林频率,一旦多个芯片同时桥接,则会下降到87%。

目前已经投放市场的EMIB技术有几款产品,包括Stratix FPGA 和 Agilex FPGA 系列,以及前段时间在消费端火热的Kaby Lake-G,将英特尔CPU和AMD GPU融合。接下来英特尔还计划在超级计算机图形处理器Ponte Vecchio、下一代至强Sapphire Rapids,2023年消费级处理器Meteor Lake,以及GPU相关芯片使用这项技术。

在EMIB线路图上,英特尔计划在未来几年内继续缩小EMIB的触点间距,以获得更多的连接性能。2017年发布的第一代EMIB触点间距为55微米,第二代EMIB将达到45微米,第三代EMIB则可能达到35微米。

Foveros:真正的叠叠乐

在2019年,英特尔在Lakefield上第一次使用了Foveros芯片到芯片的堆叠技术,虽然Lakefield这款低功耗移动处理器已经停售,但是芯片到芯片堆叠技术开始陆续在其他产品中推广开来。在很大程度上,芯片堆叠与EMIB部分中介层技术相似,所不同的是顶部的内插器、基片需要上一层芯片的完整有源供电。例如Lakefield处理器部分使用的是10nm制程,但诸如PCIe通道、USB接口、安全性以及IO相关则通过22FFL低功耗制程连接。

虽然这仍然属于EMIB技术的2D缩放范畴,但实际上这个操作已经完成了完整的3D堆叠,并且功率损失更小,连接性更好,第一代Foveros触点间距为50微米,而第二代Foveros则可以做到36微米触点间距,连接密度增加一倍,最快会在消费级处理器Meteor Lake用上。

如果你听说过英特尔封装技术,缩写ODI,即Omni-Directional Interconnect可能听说过,这是一个允许使用悬臂硅的封装技术名称,在Foveros上变成了第三代Foveros Omni。

Foveros Omni使得原本第一代Foveros的顶部芯片尺寸限制被取消,可以允许每层多个尺寸芯片叠加。因为Foveros Omni允许铜柱通过基板一直延伸到供电部分,因此解决了大功率硅通孔(TSV)在信号中造成局部干扰的窘境。此时Foveros Omni触点间距降低到25微米。如果一切顺利,Foveros Omni将会在2023年为批量生产做好准备。

紧接着第四代Foveros Direct能够将触点间距降到的10微米,密度是Foveros Omni的六倍,并且使用全铜连接,拥有更低的功耗和电阻,推出的时间也在2023年,与Foveros Omni同步,以应对不同成本和情况的解决方案。

写在最后:性能突破终有时

英特尔给我们描绘了一个2025年的芯片制造的宏伟蓝图,而推动庞大计划背后可能会有数百家供应商与客户的谈判,而为了推进这项计划,英特尔也不惜重金聘请以往在英特尔就职的专家和研究人员,进而推进当前的研究进度。

如果想从每瓦功率上有所突破,唯有不断的将工艺、封装、设计向前推进,同时考虑到客户和市场的实际需求,做到多方面平衡相当不容易,但至少,我们看到了英特尔对重返巅峰充满决心。

重磅!制程工艺变天,“纳米数字游戏”里的“猫腻”要被终结了

一直以来,制程节点都是衡量工艺演进的重要数字。一串看似无规律的数字,实际上背后隐含的是摩尔定律所划分的晶体管栅极最小线宽。

但摩尔定律每两年翻一番速度之下,早在1997年栅极长度和半截距就不再与这种节点名称匹配。更何况行业已逼近1nm的极限,行业需要更加科学和更加精密的表述形式。

日前,英特尔CEO帕特·基辛格(Pat Gelsinger)重磅宣布公司有史以来最为详细的制程技术路线图,不仅宣布在2024年进入埃米(Ångstrom)时代,还宣布了将以更加科学先进的方式度量制程节点。除此之外,与之相关的突破性架构和技术以及未来的规划逐一被披露。

在制程节点方面,帕特·基辛格宣布将会以每瓦性能作为关键指标来衡量工艺节点的演进,这是因为对于半导体产品来说,PPA(performance,power and area,性能、功耗、面积)是非常重要的指标。

按照目前的进度来说,英特尔在去年架构日正式宣布10nm SuperFin,并在后续新品中使用。展望后续,将会以全新的方式命名。

Intel 10nm SuperFin: 这项技术是在2020年架构日正式宣布的,同年7月在Tiger Lake中使用;后续2021年至强Ice Lake和Agilex FPGA新产品中也已开始使用。

彼时英特尔宣布的SuperFin技术,是一项媲美制程节点转换的技术。SuperFin其实是两种技术的叠加,即Super MIM(Metal-Insulator-Metal)电容器+增强型FinFET晶体。从参数上来看,增强型FinFET拥有M0和M1处关键层0.51倍的密度缩放、单元更小晶体密度更高、通孔电阻降低2倍、最低的两个金属层提高5-10倍电迁移。

Intel 7: 英特尔此前称之为10nm Enhanced SuperFin,即对SuperFin技术继续打磨。Intel 7将会亮相的产品包括2021年面向客户端的Alder Lake以及 2022年第一季度面向数据中心的Sapphire Rapids。

据介绍,通过对FinFET晶体管优化,每瓦性能对比此前发布的10nm SuperFin提升约10% - 15%。优化方面包括更高应变性能、更低电阻的材料、新型高密度蚀刻技术、流线型结构,以及更高的金属堆栈实现布线优化。而在本次宣布中英特尔彻底删除掉“nm”,改为综合PPA评定的每瓦性能。

Intel 4: 英特尔此前称之为Intel 7nm。Intel 4将于2022年下半年投产,2023年出货,产品包括面向客户端的Meteor Lake和面向数据中心的Granite Rapids。

需要注意的是,Intel 4是首个完全采用EUV光刻技术的英特尔FinFET节点,EUV采用高度复杂的透镜和反射镜光学系统,将13.5nm波长的光对焦,从而在硅片上刻印极微小的图样。相较于之前使用波长为193nm的光源(DUV)的技术,这是巨大的进步。与Intel 7相比Intel 4的每瓦性能提高了约20%。

Intel 3: Intel 3继续受益于FinFET技术,Intel 3将于2023年下半年开始生产相关产品。

这是一个比通常的标准全节点改进水平更高的晶体管性能提升。Intel 3将实现更高密度、更高性能的库;提高了内在驱动电流;通过减少通孔电阻,优化了互连金属堆栈;与Intel 4相比,Intel 3在更多工序中增加了EUV的使用。较之Intel 4,Intel 3将在每瓦性能上实现约18%的提升。

Intel 20A: PowerVia和RibbonFET这两项突破性技术正式开启了埃米时代,Intel 20A预计将在2024年推出。所谓Intel 20A中的“A”代指埃米,1埃米Angstrom =10^-10,1纳米=10埃米。

根据介绍,PowerVia是英特尔独有、业界首个背面电能传输网络,它消除晶圆正面的供电布线需求,优化信号布线,同时减少下垂和降低干扰。RibbonFET是英特尔对于GAA晶体管的实现,是公司自2011年率先推出FinFET以来的首个全新晶体管架构,提供更快的晶体管开关速度,同时以更小的占用空间实现与多鳍结构相同的驱动电流。

Intel 18A: 这仅仅是一种前瞻性说法,未来英特尔将会继续提升RibbonFET,Intel 18A是面向2025年及更远的未来的。此时,行业将继续向更小的埃米提升。

需要特别注意的是,英特尔还将会定义、构建和部署下一代High-NA EUV,并有望率先获得业界第一台High-NA EUV光刻机。英特尔正与ASML密切合作,确保这一行业突破性技术取得成功,超越当前一代EUV。

通过观察路线图,实际上Intel制定的发展路线是围绕晶体管结构进行转变的。在步入埃米时代Intel 20A之前,FinFET(Field-effect transistor)工艺仍然拥有极大的优化空间,在步入埃米时代后直接转向GAA(Gate-All-Around)的RibbonFET。此前台积电也曾表示,决定仍让3nm制程维持FinFET架构。

根据公开资料显示,时下先进制程技术方面,使用的均为FinFET(Field-effect transistor)技术,7nm是FinFET的物理极限,但得益于深紫外(DUV)和极紫外(EUV),制程得以突破7nm、5nm。因此,不难看出Intel的想法与行业是一致的,在Intel 4时候完全引入EUV光刻技术,继续让FinFET结构发扬光大。

当然,英特尔的FinFET与行业不同之处在于叠加了Super MIM(Metal-Insulator-Metal)电容器,变为SuperFin技术。该技术由一类新型的“高K”( Hi-K)电介质材料实现,该材料可以堆叠在厚度仅为几埃厚的超薄层中,从而形成重复的“超晶格”结构。 这是一项行业内领先的技术,领先于其他芯片制造商的现有能力。

通过这样的叠加和对FinFET结构的继续优化,可以支撑制程节点转换到等效2nm节点。但FinFET毕竟有极限,在制程到达埃米级别之时,英特尔选择的也是GAA结构。学术界普遍认为GAA是3nm/2nm之后晶体管的路,厂商也有类似GAAFET的发布。

英特尔将自己实现的GAA称之为RibbonFET,这是一种将栅极包裹在源极和漏极的工艺。而从此时开始,Intel也将会引入更高精度的EUV技术,称之为High-NA EUV,帮助实现埃米级别的提升。值得一提的是,High NA EUV光刻机可谓是炙手可热的产品,其目标是将制程推进到1nm以下,而传言中该光刻机成本甚至超过一架飞机,大约3亿美元。

为什么英特尔执意要把数字放到埃米级别?从英特尔CEO的话中我们可以窥探一二,帕特·基辛格说:“摩尔定律仍在持续生效。对于未来十年走向超越‘1nm’节点的创新,英特尔有着一条清晰的路径。我想说,在穷尽元素周期表之前,摩尔定律都不会失效,英特尔将持续利用硅的神奇力量不断推进创新。”

英特尔既是摩尔定律的发源地,也是忠实的执行者。按照摩尔定律原本的划分方式2nm到1nm之间实质上还是拥有很大的发掘空间,而到1nm之后行业也需要一种全新的划分方式来定义制程节点。此前,行业一直在广泛讨论硅极限的1nm之后的世界,英特尔则直接给出答案——埃米。

英特尔将制程节点变为每瓦性能的测量方式实际上也是有过先例的。在笔者看来,这种度量方式更加客观,更能让行业进行客观的性能对比。

另外,笔者认为,这种转变也是为了此前帕特·基辛格宣布的IDM 2.0的推进做准备。IDM 2.0中,英特尔不仅要开放代工业务,也将引入外部代工,以全新的制程节点测量方式能够方便客户进行横向对比。

资料显示,2017年英特尔引入了晶体管每平方毫米以及SRAM单元尺寸作为客观的对比指标,台积电7nm为90 MTr/mm2,而英特尔的10nm为100 MTr/mm2,这也就能解释为什么业界一直传言英特尔的10nm和7nm性能相当。

此前,笔者也曾撰文评论过行业存在的“纳米数字 游戏 ”现象。虽然制程节点在发明之初,代指的还是栅极长度,但其实从1997年开始,栅极长度和半节距与过程节点名称不再相匹配,之后的制程节点实际意义上不再与之相关。

代工厂在晶体管密度增加很少情况下,仍然会为自己制程工艺命名新名,但实际上并没有位于摩尔定律曲线的正确位置。

台积电营销负责人Godfrey Cheng其实曾经也亲口承认,从0.35微米开始,工艺数字代表的就不再是物理尺度,而7nm/N7只是一种行业标准化的属于而已,此后还会有N5等说法。同时,他表示也确实需要寻找一种新的语言来对工艺节点进行描述。

笔者认为,英特尔在率先使用这种度量方式之后,能够有效敦促行业形成标准规范。诚然,英特尔并没有强制要求行业进行统一度量,但英特尔仍然是以开放的态度愿意将这种规则分享于外界,让摩尔定律得以在正确的道路上发展。

当然,不容忽视的是,封装技术正在成为摩尔定律的新拐点。一直以来,英特尔都将制程和封装放在一起,此次也有全新的封装技术被披露。

2.5D封装方面,英特尔宣布下一代Sapphire Rapids服务器 CPU将成为采用EMIB(嵌入式多芯片互连桥接)批量出货的首个英特尔 至强 数据中心产品。根据解释,这是业界首次通过EMIB将两个光罩尺寸的器件连接在一起,最终让器件性能和单片处理器是一样的。另外,英特尔还宣告了下一代EMIB的凸点间距将从55微米缩短至45微米。

3D封装方面,Foveros将会开创下一代Foveros Omni技术以及对Foveros Omni的补充技术Foveros Direct。Foveros Omni之前名为ODI(Omni-Directional Interconnect),Foveros Direct之前名为Hybrid Bonding技术。当然本次宣布并不只是名字的统一,相关技术也将会持续推进。

从技术角度来看,Foveros Omni允许裸片分解,将基于不同晶圆制程节点的多个顶片与多个基片混合搭配,通过高性能3D堆叠技术为裸片到裸片的互连和模块化设计提供了无限制的灵活性。Foveros Direct则实现了向直接铜对铜键合的转变,可以实现低电阻互连,并使得从晶圆制成到封装开始,两者之间的界限不再那么截然。

封装虽然和摩尔定律没有直接关联,但却又影响着摩尔定律的发展。这是因为封装能够减少芯片间的凸点间距,增大凸点密度。整体的密度越大,实际上也代表着单位面积上晶体管数量越密。英特尔一直洞察到这种关系,所以在此前宣布的六大支柱中是“制程&封装”这种合并的关系。

除了技术上的宣发,英特尔宣布了两个重磅的合作消息:AWS将成为首个采用英特尔代工服务(IFS)先进封装解决方案的客户,高通将成为采用Intel 20A先进制程工艺的客户。

远望未来,制程和封装技术将继续飞扬。在穷尽元素周期表之前,摩尔定律都不会失效, 探索 之路依然长路漫漫。